標準や自作のライブラリを登録します。
通常は見本を作って、コピー・アンド・ペースト記述すれば簡単です。
library ieee; --ieeeライブラリを登録、その中のuseで示した物が利用可能
use ieee.std_logic_1164.all; --全てのロジック回路に必要
use ieee.std_logic_misc.all; --リダクション演算に必要 例:and_reduce(q);
use ieee.std_logic_unsigned.all; --符号無し演算、カウンタには必要
--通常は以上の設定でほとんどOK
use ieee.std_logic_arith.all; --符号付き演算をするとき必要
library work; --ユーザーのライブラリを登録
use work.chr_cd.all; --ライブラリ名
chr_cd.vhd というファイルで以下のようなプログラムを作り、上のように登録したら、そのプログラムで使うことができます。
library ieee;
use ieee.std_logic_1164.all;
package chr_cd is
constant C_s : std_logic_vector (7 downto 0) := "00100000";
--20h
constant C_0 : std_logic_vector (7 downto 0) := "00110000";
--30h
constant C_1 : std_logic_vector (7 downto 0) := "00110001";
--31h
constant C_2 : std_logic_vector (7 downto 0) := "00110010";
--32h
constant C_3 : std_logic_vector (7 downto 0) := "00110011";
--33h
constant C_4 : std_logic_vector (7 downto 0) := "00110100";
--34h
constant C_5 : std_logic_vector (7 downto 0) := "00110101";
--35h
constant C_6 : std_logic_vector (7 downto 0) := "00110110";
--36h
constant C_7 : std_logic_vector (7 downto 0) := "00110111";
--37h
constant C_8 : std_logic_vector (7 downto 0) := "00111000";
--38h
constant C_9 : std_logic_vector (7 downto 0) := "00111001";
--39h
constant C_e : std_logic_vector (7 downto 0) := "00111101";
--3dh
end chr_cd;
その他、以下のような IEEE ライブラリがあるようです。
use IEEE.std_logic_textio.all;
use IEEE.numeric_bit.all;
use IEEE.numeric_std.all; --割り算回路を実装する
use IEEE.std_logic_signed.all;
use IEEE.math_real.all;
use IEEE.math_complex.all;