entity

そのプログラムの入出力を記述します。


例として、上位階層からビット数を指定できるカウンタのentityを書きます。
ビット数の指定が無ければ、generic ( n : integer :=1);は必要ありません。

entity Ncuntr is
 generic ( n : integer :=1);         --ビット数=n:初期値1

 port (
    Clk   :in  std_logic;
    Rst_n  :in  std_logic;       --system asynchronous reset negative
    Syncz_n :in  std_logic;       --synchronous zero negative
    Cnten  :in  std_logic;       --counter enable
    Qout  :out  std_logic_vector (n-1 downto 0);
    Carry  :out  std_logic);

end Ncuntr;

回路名 (Ncuntr)
最初と最後に必要です。architecture部の最初にも必要です。
信号名 (Clk, Rst_n, Qout, Carry 等)
任意の英数字と_で構成します。私は外部アクセスの信号は最初大文字で記述しています。
信号の方向 (in, out, inout)
信号の入出力方向を示します。仕様上他にもありますが、この3種類だけを使うようにします。
データ・タイプ (std_logic, std_logic_vector (n-1 downto 0))
仕様上他にもありますが、この2種類だけを使うようにします。
コメント (--以降、行末まで)
entitiy ではなるべく入れるようにします。


VHDL目次          MAIL  HOME